llvm-config issues

Hi,

I hope I can ask my question here and get answers, hints about what might be wrong.
Googled, but got no meaningful answer
Asked GHDL developers but it’s not a GHDL issue.
Thus, I arrived here
Already many thanks in advance.

  • System: Linux-Mint 19
  • For building of GHDL, LLVM is required.
  • Went to apt.llvm.org
  • Browsed down till Ubuntu square and add the LLVM-8 deb and deb-src line for Ubuntu 18.04 as a .list file to the apt source.list.d of my system (Ubuntu-18.04 because Mint-19 is based on it).
  • Browsed further down the page and followed the qualification branch install procedure.
  • Everything installed without any error or warning.
  • Typing afterwards in the terminal: “clang-8 --version” results in this return:

clang version 8.0.1-svn363027-1~exp1~20190611211629.77 (branches/release_80)
Target: x86_64-pc-linux-gnu
Thread model: posix
InstalledDir: /usr/bin

  • Running in the terminal the configure command for GHDL:
    “…/configure --with-llvm-config=/usr/bin/llvm-config-8 --prefix=/opt/Ghdl”
    results in this output:

Use full IEEE library
Build machine is: x86_64-linux-gnu
Unhandled version llvm 8.0.1

Why do I get: Unhandled version llvm 8.0.1

  • “/usr/bin” is in the PATH.
  • “/usr/bin/llvm-config-8” is a link, so I tried to use the source of the link: “/usr/lib/llvm-8/bin/llvm-config” but thsi did not help.
  • Running in terminal: “/usr/lib/llvm-8/bin/llvm-config --version” results in this return: 8.0.1
  • The same output is obtained when running at the prompt: “llvm-config-8”.
  • Checked, “apt-cache policy llvm-8”, the llvm-8 version in apt return the same value and version as I installed via apt.llvm.org

What can be wrong?
Or what did I do wrong?

Many thanks in advance,

Marc

Hi,

I removed everything installed as instructed on the apt.llvm.org page per apt command line.
Checked if everything was removed using synaptic.
Rebooted the PC.

Then installed everything again using synaptic.
Went through the listed apt install commands, searched the files in synaptic and marked them one by one for installation.
Then applied to install all indicated files and packages.

After this the configure command for GHDL works.

Why does it now work?
Don’t really know but what I have seen is that installing this way installed extras that where indicated and tick-marked automatically by synaptic as necessary dependencies.

Maybe something is missing in the given apt command lines on apt.llvm.org

Anyway, it’s working and this can be classified.

Thanks,

Marc

Hi,

I hope I can ask my question here and get answers, hints about what might be wrong.
    Googled, but got no meaningful answer
    Asked GHDL developers but it's not a GHDL issue.
    Thus, I arrived here
    Already many thanks in advance.

- System: Linux-Mint 19
- For building of GHDL, LLVM is required.
- Went to apt.llvm.org
- Browsed down till Ubuntu square and add the LLVM-8 deb and deb-src line for Ubuntu 18.04 as a .list file to the apt source.list.d of my system (Ubuntu-18.04 because Mint-19 is based on it).
- Browsed further down the page and followed the qualification branch install procedure.
- Everything installed without any error or warning.
- Typing afterwards in the terminal: "clang-8 --version" results in this return:

clang version 8.0.1-svn363027-1~exp1~20190611211629.77 (branches/release_80)
Target: x86_64-pc-linux-gnu
Thread model: posix
InstalledDir: /usr/bin

- Running in the terminal the configure command for GHDL:
         "../configure --with-llvm-config=/usr/bin/llvm-config-8 --prefix=/opt/Ghdl"
  results in this output:

Use full IEEE library
Build machine is: x86_64-linux-gnu
Unhandled version llvm 8.0.1

Why do I get: Unhandled version llvm 8.0.1

Hi Marc,

The error message you are seeing originates from the GHDL configure
command. It seems like GHDL support for LLVM 8 was added pretty
recently (see Add support for llvm-8. Close #850 · ghdl/ghdl@7328eae · GitHub)
so my best tips would be to make sure you have the latest GHDL
version. This does not seem to be an LLVM issue.

Best Regards
David

Hi David,

Thanks for the reply!
I have the latest version of GHDL and it supports version 8 of LLVM.
My solution was to uninstall (purge) everything and install it again via another way (synaptic)
Then everything worked.
I had to add after the second install two links one for clang++ and one for clang.
There were in /usr/bin links for clang++-8 and clang-8 and that cause at the end a install script to fail.

Kind regards,

Marc