clang-format "binpack" enum items

Hi everyone!
In reading craftinginterpreters.com book there's enum definition like:

typedef enum {
  // Single-character tokens.
  TOKEN_LEFT_PAREN, TOKEN_RIGHT_PAREN,
  TOKEN_LEFT_BRACE, TOKEN_RIGHT_BRACE,
  TOKEN_COMMA, TOKEN_DOT, TOKEN_MINUS, TOKEN_PLUS,
  ...

But all configuration I tried put one enum item per line.
Is there any clang-format option to binpack enum items, similar to
binpack function arguments or parameters? In case there isn't I'm
happy to contribute one. Sorry if this is not the right mail list to
ask. Thanks!

Regards,
Bo